In your career, let’s prove what’s possible.
- Learn to operate Lam simulator SW
- Work with SW development team on transfer from existing applications to Docker environment
- Develop a simplified Frontend and Backend Interface
- Present accomplished tasks in a final project review
|
At a glance
- Support the validation Team in their daily tasks
- Help with the development of test automation & firmware for the MCU
- Perform Analog-Mixed-Signal post-silicon validation on the MCU
- Review the results with the technical experts & designers
|
Vollzeit | Teilzeit | Praktikum
Auf einen Blick
- Unterstützung bei der Entwicklung und Implementierung von Softwarelösungen im Bereich Automotive Entwicklung
- Analyse und Verarbeitung von großen Datenmengen
- Mitarbeit bei der Erstellung von Berichten und Präsentationen
- Teilnahme an Team-Meetings und Brainstorming-Sitzungen
|
Auf einen Blick
- Verantwortung für die Entwicklung und Implementierung von Design-Systemen in der Technologieentwicklung
- Anwendung und Weiterentwicklung von Methoden zur Optimierung von Designprozessen
- Verarbeitung und von Daten aus der Simulation, der Verifikation, der Produktion, dem Testen und den Labormessungen
- Enge Zusammenarbeit mit interdisziplinären Teams zur Sicherstellung der technischen Machbarkeit und Effizienz
- Programmierung und Implementierung von Softwarelösungen in SKILL, Python oder ähnlichen Programmiersprachen
- Mentoring und Unterstützung von Junior Engineers im Team
|
At a glance
- Studying Software Engineering, Computer Science or any technical study with focus on software engineering
- Willingness and experience working with C# and WPF
- Knowledge in other programming languages (like C/C++, JavaScript, Perl, Python, ...)
- Good English skills
|
At a glance
- Align the required measurements with the project team
- Plan and prepare measurement hardware environment and program automated measurement procedures
- Execute the verification measurements to reach full test coverage oft he products functionalities
- Illustrate the results and documentation of the measurement setup
- Report the measurement results to the project team
- Work with a very nice and experienced team
- Mainly work in a laboratory environment
|
At a glance
- Work in the R&D mixed-signal IC design organization
- Support R&D chip designers for daily issues related to mixed-signal IC development flow and related EDA software tools and methodology (1stlevel support)
- Proactively consult chip design projects to ensure proper and efficient usage of working environment, software tools and related methodology
- Collect application specific requirements to the design environment and provide fast solutions (e.g. hot fixes) where needed
- Trigger and track implementation of enhancements of the design environment to ensure on-time availability for productive usage
- Work closely with internal software tool and methodology providers on improving and developing new solutions
- Promote new methodology solutions and support the roll-out of these in design projects
- Share knowledge within the (IFX world-wide) “Design Application Engineering Community
|
At a glance
- Support by developing and implementing of a new software solution in IT license management
- Do analysis and processing of large amounts of data
- Create software documentation
- Develop in Cloud-Native environment (CI/CD pipelines with automated testing)
|
At a glance
- Maintenance and improvement of an existing development and testing environment
- Further automation of build and test processes
- Prototyping and evaluation of new tools and methodologies
|
At a glance
- Work in the R&D mixed-signal IP design organization
- Support R&D chip designers for daily issues related to mixed-signal IP development flow and related EDA software tools and methodology (1st level support)
- Proactively consult chip design projects to ensure proper and efficient usage of working environment, software tools and related methodology
- Collect application specific requirements to the design environment and provide fast solutions (e.g. hot fixes) where needed
- Trigger and track implementation of enhancements of the design environment to ensure on-time availability for productive
- usage
- Work closely with internal software tool and methodology providers on improving and developing new solutions
- Promote new methodology solutions and support the roll-out of these indesign projects
- Share knowledge within the (IFX world-wide) "Design Application Engineering Community"
|
At a glance
- Drive FPGA design and implementation from SoC-level IFX product perspective
- Develop FPGA, embedded system, application-based platforms (hardware, emulation, mixed-signal)
- Drive result-oriented analysis and debug
- Organize and support system verification and prototyping projects
- Have the potential to develop from technician to team leader and organize the team for multi-dimensional projects with multiple time zones
|
At a glance
- Work in the R&D mixed-signal IC design organization
- Support R&D chip designers for daily issues related to mixed-signal IC development flow and related EDA software tools and methodology (1st level support)
- Proactively consult chip design projects to ensure proper and efficient usage of working environment, software tools and related methodology
- Collect application specific requirements to the design environment and provide fast solutions (e.g. hot fixes) where needed
- Trigger and track implementation of enhancements of the design environment to ensure on-time availability for productive usage
- Work closely with internal software tool and methodology providers on improving and developing new solutions
- Promote new methodology solutions and support the roll-out of these in design projects
- Share knowledge within the (IFX world-wide) “Design Application Engineering Community”
|
Das erwartet Dich:
- Du entwickelst SW-Konzepte und SW-Lösungen für Produktions- und Testsysteme mit Schwerpunkt auf optische Prüfungen und Automatisierungen von Prozessen
- Du qualifizierst, validierst und nimmst die Lösungen in Betrieb
- Du betreibst kontinuierliche Verbesserungen (Kaizen, 5S, etc.) auf bestehenden SW-Lösungen und SW-Systemen
|
At a glance
- Support in the Project Office with main customers and Project Managers
- Project Harmonization (Project Starter Kit, References, Digitalized Task Tracking)
- Knowledge Hub for Project Managers (Organization of Know-How Transfer, Health Checks in projects)
- Project Data Support (Reference Efforts in projects, Dashboards)
|
Vollzeit | befristet | Praktikum
In your career, let’s prove what’s possible.
- Support in Industrial Process Improvements
- Verification of bill of materials, documentation creation and assembly preparation for machine used in the chip manufacturing process
- Development and updating of test fixtures and test platforms
- Active participation in continuous quality improvement activities
|
At a glance
- Create and maintain verification plans
- Choose the right verification methodology
- Define verification metrics and set-up verification environments
- Elaborate and execute tests on RTL and gate-level
- Team up and collaborate with colleagues from analog and digital design as well as concept engineering
|
At a glance
- Be responsible for planning, execution and coordination of pre-silicon verification according to ISO26262
- Coordinate and give technical guidance to team members and consultants
- Work together with concept-, design- and verification teams to ensurethat AURIX™ will continue its success story
- Identify, analyze and eliminate design bugs
- Contribute to the development of cutting-edge verification methodology
- Ramp up fellow engineers with your technical expertise
|
At a glance
- Deploy AI models, automate processes, and support digital transformation initiatives within the Connected Secure Systems
- Collaborate with internal and external partners to understand and gather requirements for AI model deployment
- Develop and automate deployment scripts
- Integrate AI solutions with existing applications to enhance process efficiency
- Prepare and present data analysis and correlation reports
- Create and maintain documentation for AI model development and deployment
- Support digital transformation projects and explore AI challenges
|
In your career, let’s prove what’s possible.
- Design, develop, troubleshoot, and debug software programs for enhancements and new products.
- Implement new customer requirements and features in our existing application.
- Maintain and enhance existing code base by investigating and resolving problem areas.
- Demonstrate solutions through clear documentation, flowcharts, and well-structured code.
- Collaborate with cross functional teams to design and develop software programs.
- Provide technical guidance and mentoring for more junior engineers.
- May visit customer site to provide support and have ability to travel (total is less than 10%).
|
At a glance
- End-to-End Digital Circuit Design & Verification
Lead the entire RTL design process, from block-level to top-level, ensuring robust logic synthesis, static timing analysis, and seamless integration across clock domains - Defining Architecture & Hardware Requirements
Translate product requirements into hardware specifications, working with system concept engineers to define optimal architectures and interfaces for digital modules - Technical Leadership & Team Management
Plan and execute digital design activities, oversee quality inspections, provide post-silicon bring-up support, and mentor a growing team of designers and students - DFT & Functional Safety Compliance
Lead design-for-test (DFT) efforts, including scan insertion and ATPG, while ensuring compliance with ATV ISO 26262 standards for functional safety - Collaborate with System, Verification & Chip Integration Teams
Work closely with cross-functional teams to define hardware architectures, review verification plans, and develop test cases that achieve high coverage - Pre-Silicon Verification & Quality Assurance
Analyze clock domain crossings (CDC) and linting, review pre-silicon verification plans, and ensure designs meet rigorous quality and testing standards
|
At a glance
- Conduction of literature reviews on quantum sensing and SiC color-center-defect technologies
- Development and implementation of simulation models to predict sensor performance
- Investigation of novel quantum centers for quantum sensing, device simulation, implementation, characterization, system architecture, and fabrication
- Analysis of data to identify key parameters influencing sensor performance.
- Collaboration with researchers and engineers to refine sensor designs
- Documentation and presentation of findings to both technical and non-technical audiences
|