At a glance
- Define test concepts and manage test specification discussion for Power semiconductor device
- Be responsible for test package development (test hardware and software)
- Closely cooperate with different development teams and production locations
- Optimize test methods to improve quality and reduce test costs
- Implement test solutions in our production locations spanning all around the world
|
Vollzeit | befristet | Praktikum
In your career, let’s prove what’s possible.
- Support in Industrial Process Improvements
- Verification of bill of materials, documentation creation and assembly preparation for machine used in the chip manufacturing process
- Development and updating of test fixtures and test platforms
- Active participation in continuous quality improvement activities
|
At a glance
- Develop and design advanced power electronic circuits through simulations and concept studies
- Collaborate with cross-functional stakeholders to gather and manage system requirements effectively
- Transform designs into hardware, overseeing the complete implementation process, and supervising the manufacturing phase
- Evaluate and validate designs within an industrial laboratory setting
- Lead stress testing using both established and newly developed test systems
- Document designs, concepts, and results with clarity and precision
|
At a glance
- Support the validation Team in their daily tasks
- Help with the development of test automation & firmware for the MCU
- Perform Analog-Mixed-Signal post-silicon validation on the MCU
- Review the results with the technical experts & designers
|
Vollzeit | befristet | Praktikum
At a glance
- Analog blocks in Infineon microcontrollers
- Analog to Digital (ADC) and Digital to Analog (DAC) converters
- High speed interfaces
- High Precision Oscillators and PLLs
- Power Management Systems (PMS)
- Battery Management Systems (BMS)
- DCDC Converter for low voltage applications and Linear Voltage Regulators (LDOs)
- RF blocks for radar systems
- Bias & Control circuits for 5G antennas
|
In your career, let’s prove what’s possible.
- Analyze and test electrical related components and modules to establish operating data
- Define, set up and conduct experimental tests
- Generate or improve automated test environments
- Evaluate, document and present the test results
- Investigate and improve existing electrical modules and systems
|
At a glance
- Assist with daily task such as soldering components and maintaining lab equipment
- Document measurement results and debugging setups
|
Ihr Aufgabengebiet
- Funktionsbeschuss von Prototypen
- Durchführen von waffentechnischen Analysen und Definition möglicher Lösungen
- Technische Dokumentationen und Berichtswesen
- Endmontage von Prototypen und Unterstützung bei der Serienübergabe
- Unterstützung bei der Überarbeitung und Verbesserung bestehender Test- und Prüfabläufe
- Schiesskanäle und Vorrichtungen sauber sowie funktionsfähig halten
|
Dein Aufgabengebiet:
- Du bist verantwortlich für die Instandhaltung und Wartung von Maschinen und Produktionsanlagen.
- Du suchst und behebst Fehler und Störungen an Maschinen, Geräten und betriebsspezifischen Anlagen.
- Hierfür verwendest Du verschiedene Messverfahren und -geräte sowie Test- und Diagnosesoftware und beurteilst & dokumentierst die Messergebnisse.
|
At a glance
- Assisting with setup and operation of test equipment
- Support with designing PCBs for different power converters
- Collecting and analyzing data from experiments
- Troubleshooting technical issues and identifying solutions
|
Vollzeit | befristet | Freelancer, Projektarbeit
At a glance
- Work with the RISC-V´s and another microprocessor´s architecure
- Align with various internal departments and collect their performance requirements
- Define the benchmarks to compare those architures
- Implement some test benches to test the benchmarks and collect the results
|
At a glance
- Maintaining accurate inventory of lab equipment and materials
- Coordinating orders and procurement of necessary supplies
- Organizing and maintaining lab documentation and records
|
At a glance
- Maintenance and improvement of an existing development and testing environment
- Further automation of build and test processes
- Prototyping and evaluation of new tools and methodologies
|
At a glance
- Design of analog & mixed signal building blocks to meet the requirements
- Verification of analog circuits by building test benches and running simulations using state-of-the-art simulation tools
- Follow-up of the layout phase until mask release
- Automate analog verification using dedicate tools as part of your learning experience
- Support other functions: circuit layout, concept engineering, post silicon test development, verification and product qualification
|
At a glance
- Design of analog & mixed signal building blocks to meet the requirements
- Verification of analog circuits by building test benches and running simulations using state-of-the-art simulation tools
- Follow-up of the layout phase until mask release
- Automate analog verification using dedicate tools as part of your learning experience
- Support other functions: circuit layout, concept engineering, post silicon test development, verification and product qualification
|
At a glance
- End-to-End Digital Circuit Design & Verification
Lead the entire RTL design process, from block-level to top-level, ensuring robust logic synthesis, static timing analysis, and seamless integration across clock domains - Defining Architecture & Hardware Requirements
Translate product requirements into hardware specifications, working with system concept engineers to define optimal architectures and interfaces for digital modules - Technical Leadership & Team Management
Plan and execute digital design activities, oversee quality inspections, provide post-silicon bring-up support, and mentor a growing team of designers and students - DFT & Functional Safety Compliance
Lead design-for-test (DFT) efforts, including scan insertion and ATPG, while ensuring compliance with ATV ISO 26262 standards for functional safety - Collaborate with System, Verification & Chip Integration Teams
Work closely with cross-functional teams to define hardware architectures, review verification plans, and develop test cases that achieve high coverage - Pre-Silicon Verification & Quality Assurance
Analyze clock domain crossings (CDC) and linting, review pre-silicon verification plans, and ensure designs meet rigorous quality and testing standards
|
At a glance
- Design mechanical systems & power modules, ensuring optimal performance, thermal management, and reliability for AI data centers
- Develop and validate mechanical concepts considering materials, manufacturing, and assembly
- Collaborate with customer engineering teams for seamless integration of Infineon’s solutions
- Work with suppliers to enhance design and manufacturability
- Perform mechanical simulations to predict behavior and refine designs
- Drive fast-prototype fabrication and test for performance and durability
- Optimize designs for manufacturability and cost-effectiveness with manufacturing teams
- Document design processes, test results and technical specifications with cross-functional teams
|
In your career, let’s prove what’s possible.
- Endmontage & Test (Teile/Module/Komponenten/Plattformen)
- Elektrische Montage und Tests von LAM-Spin-Clean-Plattformen – Grundlage ist die Konfiguration und die Dokumentation (z.B. Produktionsplan, mechanische Zeichnungen, Schaltpläne, internes Prüfprotokoll etc.)
- Elektrische Montage und Prüfung von Neukundenwünschen (NSR's)
- Strukturierte Diagnostik und Problemlösung
- Durchführung der definierten Prüfschritte (Zwischenprüfungen) gemäß internem Prüfprotokoll
- Aktive Mitarbeit bei der Übergabe von Entwicklungsprojekten an die Produktion
- Kontinuierliche Verbesserung unserer Arbeitsabläufe und Dokumentationen
- Aktiver Wissensaustausch (z.B. interne Schulungen, Lieferantenschulungen)
- Prüfung der Dokumentation, des Materials und Berichterstattung von Abweichungen (z.B. Problembericht)
- Kontinuierliche Qualitätsverbesserung durch aktive Zusammenarbeit und Kommunikation mit den internen Kunden und Lieferanten
- Aktive Mitarbeit an der kontinuierlichen Verbesserung des Produkts, des Geschäftsprozesses und der Systeme (z.B. Dokumentation, internes Prüfprotokoll etc.)
|
At a glance
- Studying Software Engineering, Computer Science or any technical study with focus on software engineering
- Willingness and experience working with C# and WPF
- Knowledge in other programming languages (like C/C++, JavaScript, Perl, Python, ...)
- Good English skills
|
At a glance
- Perform requirement analyses
- Be responsible for the user management of departments own application
- Support and supervise the software development team
- Test and document new functionalities
- Plan and prioritize work packages for the agile team
- Moderate agile ceremonies
|
At a glance
- Assist engineers in system board design and verification of high power density and innovative solutions in DCDC power conversion
- Build evaluation kits and perform system function verification and testing
- Prepare design documentation, application notes and getting started guides for various solutions developed
- Acquire knowledge and methodology in system design, trouble shooting and analysis
- Analyze test results and document the outcomes
- Collaborate and contribute to development projects
|
In your career, let’s prove what’s possible.
- Contribute to Digital Transformation activities within the team.
- Assist in the preparation of the MES data migration.
- Create and revise technical documents, including sequence of events, test instructions, and operations procedures.
|
In your career, let’s prove what’s possible.
- Optimize manufacturing processes to enhance efficiency and productivity.
- Assist in designing and improving manufacturing floor layouts.
- Support 6S administration, including layout, tools, test fixtures, measuring devices, and awareness documents.
- Contribute to Digital Transformation activities within the team.
- Assist in the preparation and distribution of the Industrial Engineering newsletter.
|
At a glance
- Lead the design and development of analog subsystems (DC/DC converters, ADCs, LDOs, etc.)
- Define IP architecture and collaborate closely
with layout, digital, and verification teams - Perform circuit simulation, define verification tests, and ensure robust performance
- Support silicon bring-up and debugging in the lab
- Mentor junior engineers and contribute to team development
- Support successful project execution with technical leadership
|
At a glance
- Align the required measurements with the project team
- Plan and prepare measurement hardware environment and program automated measurement procedures
- Execute the verification measurements to reach full test coverage oft he products functionalities
- Illustrate the results and documentation of the measurement setup
- Report the measurement results to the project team
- Work with a very nice and experienced team
- Mainly work in a laboratory environment
|
At a glance
- Become the disciplinary manager of a research and development (R&D) team with Concept Engineering and Digital Designers and Functional Verification Engineers to develop and maintain IPs and sub-systems for Infineon's Automotive microcontroller products (AURIX & TRAVEO)
- Make timely & transparent decisions that you communicate clearly throughout the organization and guide and develop your team to get the required work products produced in time, within budget, and quality
- Propose vision and mission according to microcontroller R&D blue book, strategy, and project roadmaps shape the strategy to become and stay best-in-class regarding the worldwide semiconductor industry
- Define all roles and responsibilities (e.g. for Verifications Engineer, Digital Designers, etc.) including typical escalation path, and propose organizational structures and functions to support Infineon's Automotive microcontroller strategy
- Collaborate with many other Centers of Competencies outside your team (e.g. Marketing, Chip Development, Validation, Production Test, etc.) and ensure good cooperation with third-party Internet Protocol (IP)
- Regularly look ahead and drive innovation according to microcontroller strategy including investments in research and Internet Protocol (IP) pre-development to mitigate technology and competency risks
|
In your career, let’s prove what’s possible.
- Coordinate and support investigation of key quality defects of components from the customers and/or field service team to drive corrective actions and continuous improvement
- Drive the improvement of the suppliers’ quality and manufacturing processes to ensure product quality, cost, and on-time-delivery
- Act as liaison / technical lead for cross functional projects to include Build Verify and Production Readiness Review
- Audit and document supply base performance on critical process of records and overall quality management systems
- Collaborate on the continuous development of supply base through close teamwork with product management, global product group, and supplier business managers
- Leads, and is personally accountable for, high profile project timelines and milestones.
- Continuously working on process improvement and developing Best Known Methods
- Provide technical support in Build, Test and FQA to suppliers in product deployment and transition
- Perform Standardized Supplier Quality Audits and administer Supplier Quality Scorecard for existing suppliers to promote continuous improvement.
- Drive Root Cause and Corrective Action Analysis on defects and Escalations
|